myhdl-cosimulation

MyHDL cosimulation files

Description

MyHDL turns Python into a hardware description and verification language, providing hardware engineers with the power of the Python ecosystem.

Python can then be used as an event-driven simulator using Python decorators actively to specify what corresponds to 'processes' in Verilog / VHDL and thereby achieve concurrency.

This package provides the sources for executable extensions of the core modules.

Upload more screenshots

Please help extend the collection of screenshots. Just make a screenshot and upload it here. You don't need to register or anything.

Upload a screenshot

Hint: upload an image here from your clipboard with Ctrl-V


Homepage

http://www.myhdl.org


Install this software package

If the package is available for the distribution you are currently using on your computer then install the software by clicking on…

Install myhdl-cosimulation