mighttpd2

classical web server on WAI/warp

Description

Mighttpd2 (pronounced as "mighty") is a simple but practical HTTP server written in Haskell. It handles static files and CGI scripts. It also provides reverse proxy functionality.

Mighttpd2 is now implemented as a WAI application using the high-performance HTTP engine, "warp". To httperf Ping-Pong benchmark, Mighttpd2 is faster than nginx.

Upload more screenshots

Please help extend the collection of screenshots. Just make a screenshot and upload it here. You don't need to register or anything.

Upload a screenshot

Hint: upload an image here from your clipboard with Ctrl-V


Homepage

https://kazu-yamamoto.github.io/mighttpd2/


Install this software package

If the package is available for the distribution you are currently using on your computer then install the software by clicking on…

Install mighttpd2